simdpp::to_float64

From libsimdpp-docs
template<unsigned N, class E>
float64<N, _DETAIL_> to_float64( Vec<N,E> a );

Converts elements within a vector to 64-bit floating-point values.

The implementation behaves as if the following set of overloads is provided:

Vec
int8
uint8
int16
uint16
int32
uint32
int64

(available only if SIMDPP_HAS_INT64_TO_FLOAT64_CONVERSION evaluates to nonzero value)

uint64

(available only if SIMDPP_HAS_UINT64_TO_FLOAT64_CONVERSION evaluates to nonzero value)

float32
float64

The return type is a vector expression.

Parameters[edit]

a - vector to convert

Return value[edit]

A vector expression evaluating to vector with values converted to 64-bit floating-point values.

Equivalent operation[edit]

r0 = double(a0)
...
rN = double(aN)

See also[edit]

converts vector elements to 32-bit floating-point values
(function template)